Connect with us

Company News

My top pick as it dominates Samsung and Intel foundries

TSMC increased its Foundry Revenues in Q3 2022 by 11.1% compared to -0.1% for Samsung Electronics and Intel (INTC) by 40.2%.

TSMC’s share of the foundry sector increased from 53.4% to 56.1%, while Samsung’s share decreased from 16.4% to 15.5%. Intel’s share increased from 0.36% to 0.46%, according to The Information Network’s report entitled “Hot ICs: A Market Analysis of Artificial Intelligence (“AI”), 5G, Automotive, and Memory Chips.”

While TSMC is #1 and Samsung #2 in the foundry market, Intel’s acquisition of Tower in 2023 will move INTC to #7 just behind Huahong.

Chart 1 shows TSMC’s revenue by technology node between Q1 2019 and Q4 2022. Revenues from the 3-10nm nodes have been increasing, and in Q3 2022 represented 54% of total revenues (purple bar).

TSMC revenue

Chart 2 breaks out the 3-10nm node range into revenues by year for TSMC. Chips at the 7nm began generating revenues in 2018 but will peak in 2022 as the 5nm node takes over. Chips at the 3nm node will generate revenues in 2023 while 2-nm node chips will enter the market in 2025.

Taiwan Semiconductor revenue

As it relates to Chart 2 above:

  • I forecast that 7nm revenue will drop 38% YoY in 2023 following an increase of 8% in 2022, primarily because of the slowdown and inventory correction in smartphones and PCs. N7 revenues in 2024 will increase just 2%, largely because of a slowdown in the semiconductor market brought about by macro factors and oversupply from excessive capex spend in 2020-2021.
  • I forecast 5nm revenue growth to drop -13% in 2023 YoY following an 83% increase in 2022, due to reduction of inventory from major customers at this node including Apple (AAPL) and Hewlett Packard (HPE). Revenues are expected to drop in 2024 due to process node migration to 3nm, keeping in mind that the 5nm node has been in production since 2020.
  • I forecast 3nm revenue to start production in 2023 and represent 24% of the sub 7nm segment, as 7nm drops to 28% and 5nm to 48% of the $36 billion segment. Key customers for the 3nm node are Apple’s A17 (iPhone) and M3 (“Mac”) processors. Note that TSMC’s first-generation N3 node will be used for primarily by Apple. TSMC’s second-generation N3E will feature an improved process window, resulting in faster time to yield, increased yields, higher performance, and lower power. The N3E node should begin production in 2H 2023.

Seeking Alpha

Click to comment

You must be logged in to post a comment Login

Leave a Reply

Copyright © 2024 Communications Today

error: Content is protected !!